Versal Adaptive SoC Design Flow Assistant


The Versal™ Adaptive SoC Design Flow Assistant helps AMD Adaptive Computing customers better understand the design flows and details necessary for successfully building Versal Adaptive SoC designs.

This Assistant takes you to detailed technical content specific to your design needs, and provides links to more detailed information.

Design Flow Assistant: Start Here

Does your design plan incorporate any of the following? If so, click Yes.
If none of the following applies, click No. 

  • Are you targeting AI Engine-based devices?
  • Do you want to begin development on individual subsystems on an AMD development board, or before a custom platform is available? 
  • Will there be non-FPGA designers creating PL or AI Engine content? 

Depending on your answer, you will then be asked a short series of questions, after which a quick reference guide will appear that provides more complete design details.

About the AMD Versal Adaptive SoC Design Flows

There are two design flows for AMD Versal Adaptive SoC:

  • Traditional design flow
  • Platform-based design flow

Traditional Design Flow

In the traditional design flow, the entire PL portion of the system is defined in a single Vivado™ project. 

  • This project must include the foundational Versal hardware IP blocks (e.g., CIPS, NoC, I/O controllers) and any other custom RTL and IP blocks needed for the project. Design sources are added to the Vivado tools and compiled through the Vivado implementation flow.
  • If the system consists of PL components only, the Vivado tools are used to generate a programmable device image (PDI) to program the Versal device.
  • If the system also includes embedded software content, the software application is developed in the Vitis™ environment on top of the fixed hardware design exported from Vivado. 

This flow is very similar to the one traditionally used for Zynq UltraScale+™ MPSoCs.

Platform-based Design Flow

In the platform-based design flow, the system is divided in two distinct elements: the platform and the processing system. 

  • The platform is a well-formalized design resource that contains the foundational Versal hardware IP blocks (e.g., CIPS, NoC, I/O controllers) and software features (e.g., domains, device tree, OS) upon which a complete working system can be built and integrated. The hardware part of the platform is a dedicated Vivado project containing the minimum necessary hardware IP blocks. The software components are packaged with the hardware to create a custom platform. 
  • The processing system consists of PS, PL and optional AI Engine features that implement the main functionality of the system. 

You can create these different elements with the Vivado tools or the Vitis environment. Once created, they are integrated to the platform using the Vitis environment.

This flow promotes concurrent development of the different elements of the system and greatly facilitates the integration process of heterogenous systems.

For more information on Versal Adaptive SoC design flows, see the Versal Adaptive SoC Design Guide.

Design Hubs Landing Page