Simulation Vendor | Setup File Settings | Commands |
---|---|---|
MTI | None | vlib work vmap XilinxCoreLib <compiled_XilinxCoreLib> vlog adder_tb.v myadder8_top.v myadder8.v vsim -Lf Xilinx CoreLib adder_tb |
NC-Verilog | In cds.lib, add: DEFINE XilinxCoreLib {compiled_XilinxCoreLib} | ncvlog adder_tb.v
myadder8_top.v myadder8.v ncelab -message
adder_tb ncsim -run adder_tb |
VCS/VCSi | None | vcs -Mupdate -Mlib=<compiled_XilinxCoreLib> -y $XILINX/verilog/src/XilinxCoreLib +libext+.v +incdir+ $XILINX/verilog/src -R adder_tb.v myadder8_top.v myadder8.v |
Verilog-XL | None | verilog +incdir+$XILINX/verilog/src -y <src_XilinxCoreLib> +libext+.v adder_tb.v
myadder8_top.v myadder8.v |